Sunday, August 24, 2014

ARM Cortex-A7 dominates cost-sensitive mobile CPUs from low-end to mid-range

Introduction and features of Cortex-A7


ARM's Cortex-A7 core is described by ARM as the most power-efficient processor it has ever developed, which has led the multi-core revolution for entry-level and mid-range smartphones, shipping in huge volumes. Examination of the market shows that this is not an exaggeration by ARM.

The Cortex-A7 is related to the older Cortex-A5, which also targets power-efficiency, building on the latter's efficient 8-stage pipeline. It is an in-order pipeline, non-symmetric dual-issue processor with a pipeline length between 8 and 10 stages. L1 instruction and data caches are configurable from 8KB to 64KB, and a L2 cache up to 1MB is supported. Cortex-A7 cores are typically configured with ARM's NEON SIMD engine.

When introduced a number of years ago, the Cortex-A7 was described by ARM as a power-efficient CPU core with a focus on its use in a big.LITTLE configuration with both power-efficient Cortex-A7 cores and high-performance, but less power efficient Cortex-A15 cores, with which the Cortex-A7 is architecturally compatible. Although significantly slower than the Cortex-A15, the Cortex-A7 is described as being 2.3x to 3.8x more power-efficient than the Cortex-A15 on a performance/Watt basis. ARM also mentioned that the Cortex-A7 itself has considerable performance potential, being faster than the older Cortex-A8 core for a fraction of the power.

Another critical feature of the Cortex-A7 is its extremely small die size (area used for the core within a chip), being as small as 10-20% of the size of previous generation Cortex-A8 or Cortex-A9 cores, with significant implications for chip cost, as well as contributing to its power efficiency, and allowing configuration such as octa-core without major cost implications.

Success in multi-core Cortex-A7-only CPU configurations


Somewhat unexpectedly, its greatest success by far has come from the adoption of symmetric Cortex-A7-only configurations (such as dual-core, quad-core or even octa-core) for cost-sensitive, low-power applications. The Android OS and its applications benefit from the presence of multiple very power-efficient CPU cores, which generally can also be powered or clocked down as needed, and the performance limit on single-thread performance has not proven to be a bottleneck in practical terms.

As more advanced processes such as low power 28nm came into production, the competitive advantages of the Cortex-A7 became very apparent, with its very small die size (0.45mm^2 per core) and low power consumption (< 100 mW) enabling very cost-effective and power-efficient application processors for use in SoCs targeting smartphones and other devices. Clock frequencies at 28nm have so far ranged from 1.2GHz to 1.7GHz, with an increase over time due to process and design improvements. The  advantages of multi-core Cortex-A7 configurations in terms of die size and power-efficiency have significantly advanced the capabilities and lowered the cost of low-end smartphones SoCs, while greatly decreasing the cost of smartphone SoCs targeted at the mid-range segment. The cost of chip platforms for such segments has also been reduced because of the increased potential to integrate external components and functionality into the SoC, and can also be associated with significant increases in performance within a given chip cost budget (for example, use of the Cortex-A7 may allow a larger L2 cache, larger/faster GPU, or better video decoding core).

More efficient and less problematic than other ARM cores


A few years ago, the potential of multi-core Cortex-A7-only processor configurations was not immediately obvious, and several chip companies gave it little attention, instead continuing to focus on the older Cortex-A9 core or exclusively using it in big.LITTE configurations with Cortex-A15 cores, or designing chips using only Cortex-A15 cores, even for mobile applications. In general, companies that did not adopt Cortex-A7 were significantly less successful in the marketplace, as well as suffering higher product cost.

The high power consumption of the Cortex-A15 has proven to be particularly problematic. ARM's big.LITTLE system has taken considerable to mature and become viable in the market, with significant volumes largely limited to Samsung's Exynos product line, and until recently very limited use in the highest volume smartphone segment. ARM later attempted to address the power-efficiency concerns associated with the Cortex-A15 with the Cortex-A17 and Cortex-A12 cores.

Competitive advantage for early adopters of Cortex-A7


Companies that benefitted from timely adoption of the Cortex-A7 include MediaTek, which from 2013 significantly improved the usability and performance of low-cost smartphones with power-efficient and cost-effective Cortex-A7 based SoCs. MediaTek also pioneered octa-core configurations of the Cortex-A7, allowing it to address higher-priced segments. Qualcomm, the leading smartphone chip company dominating the mid-to-high-end segment, largely abandoned its proprietary Krait cores for the more cost-sensitive part of the market, including mid-range, in preference for low-cost, power-efficient SoCs with quad-core Cortex-A7, as implemented in the Snapdragon 400 series.

Some notable companies using the Cortex-A7:
  • Prominent Chinese tablet processor supplier Allwinner Technology introduced the A31/A31s (with quad-core Cortex-A7) in 2012. Manufactured at 40nm for the high-end of the Chinese tablet market (it also contained a unusually powerful GPU), this chip saw some success in the first half of 2013 and was one of the first Cortex-A7-based chips to come to market. The 40nm process limited performance and power-efficiency, and Allwinner had more serious problems problems when they attempted to adopt the Cortex-A7 in their dual-core A20 processor manufactured at 55nm to be pin-compatible with their older A1x line. With the recently announced A33 processor, Allwinner has finally arrived at the proven low-cost combination of a quad-core Cortex-A7 with Mali-400MP2 GPU that has already been succesful for MediaTek and others, although Allwinner's chip is still manufactured at 40nm (which could be an advantage in the current tight capacity environment for 28nm).
  • MediaTek widely adopted the Cortex-A7 in 2013, first in the quad-core MT6589, then in the dual-core MT6572 and quad-core MT6582, which currently dominate the low-end smartphone market, shipping hundreds of millions of units this year. The octa-core Cortex-A7-based MT6592 has seen success in the higher-priced segment in China. MediaTek has also penetrated the tablet market with Cortex-A7-based SoCs.
  • Qualcomm has widely adopted the Cortex-A7 in its volume-driving Snapdragon 400 series, in preference over its own Krait cores.
  • Samsung first adopted Cortex-A7 as part of the big.LITTLE configuration in its Exynos SoCs, primarily shipping in tablets. Recently, Samsung has also started adopting a Cortex-A7-only architecture for high-volume smartphone applications.
Examples of companies not adopting Cortex-A7:
  • NVIDIA did not adopt the Cortex A7, instead focusing on the more performance-oriented Cortex-A9, Cortex-A15 and the development of its own ARMv8 implementation. Despite significant investment, NVIDIA has failed to gain traction in the smartphone market.
  • Rockchip, a Chinese company that until recently led the market for tablet processors in China, did not adopt the Cortex-A7. While its Cortex A9-based RK3188T (manufactured using a relatively advanced 28nm HKMG process), and to a lesser extent its RK3168, have shipped in significant volume, price pressure and the relatively high production cost of its processors is likely to have impacted its profit margins.
  • Texas Instruments developed the OMAP 5 series using the Cortex-A15 before virtually discontinuing the product line.

 

Cortex-A53 promises to extend ARM's lead


The logical successor of the Cortex-A7 for ARM's 64-bit AArch64 architecture, the power-efficient Cortex-A53, has strong similarities in design and has seen widespread adoption for upcoming SoCs, also promising to further extend the domination of standard ARM cores into the high-end segment.
 
Sources: Wikipedia (ARM Cortex-A7), ARM (Cortex-A7 page), ARM (big.LITTLE white paper)

No comments: